ASML, най-големият доставчик на машини за производтсвото на напреднали чипове в света, е получил забрана от нидерландското правителство да изнася някои от напредналите си инструменти в Китай, съобщават от компанията, цитирани от CNBC.

В изявление, публикувано в понеделник, ASML, чието седалище е във Велдховен, Нидерландия, заявява, че лицензът за доставка на нейните литографски системи NXT:2050i и NXT:2100i от 2023 г. "наскоро беше частично отнет от нидерландското правителство".

Акциите на ASML се понижават с около 1% по време на сутрешната търговия.

Ню Йорк строи нов мегакомплекс за производство на чипове за 10 млрд. долараВ щата има няколко големи фабрики за производство на чипове, а миналата година беше обявено и изграждането на завод на Micron на стойност 100 млрд. долара


Компанията продава литографски машини, които са ключова част от процеса на производство на чипове. Един от видовете машини, които доставя, се нарича екстремна ултравиолетова (EUV) литографска машина, и се използва за изработване на най-модерните чипове, като например тези, които се вграждат в iPhone на Apple. В продължение на няколко години вече на ASML е забранено да изнася този тип инструменти за азиатската страна. Досега тя все още не е доставила нито една EUV машина в Китай.

Вторият вид инструменти, който продава нидерландската компания, се нарича машина за дълбока ултравиолетова литография (DUV), която се използва за изработване на малко по-малко усъвършенствани чипове. Машините NXT:2050i и NXT:2100i, които попадат в обхвата на последните ограничения за износ на местното правителство, са именно такива.

Отнемането на лиценза за износ идва, след като през октомври правителството на САЩ затегна контрола върху износа на усъвършенствани полупроводници и инструменти за производство на чипове за Китай, надграждайки предишните правила.

Белият дом ограничава американските технологични инвестиции в КитайНовото законодателство засяга основно три сектора - полупроводници, квантови технологии и AI


В изявлението на ASML се казва, че при неотдавнашните дискусии с правителството на САЩ компанията е "получила допълнителни разяснения относно обхвата и въздействието" на актуализирания през октомври контрол върху износа. Тези ограничения "налагат рестрикции върху някои средно важни DUV имерсионни литографски системи за ограничен брой модерни производствени съоръжения".

Нидерландското правителство, след натиск от страна на САЩ, въведе през юни свои собствени ограничения върху износа на модерно полупроводниково оборудване.

От ASML добавят, че не очакват отнемането на лиценза им за експорт да окаже съществено влияние върху финансовите им прогнози за 2023 г. По-рано компанията обяви, че очаква нетните ѝ продажби за четвъртото тримесечие да бъдат между 6,7 млрд. и 7,1 млрд. евро.